47:34
C@00 (7)